Elliptic Curve Point Multiplication over GF(2163)

To be appear in:  

 

 

 

 

 

In this page you can found the VHDL codes of the article:

Elliptic-Curve Point Multiplication over GF (2^163), to be published in: xxxx

 

Paper Abstract

This paper describes algorithms and circuits for executing the point-multiplication operation in the particular case of the K-163 NIST-recommended curve. The circuits have been described in VHDL and implemented within the low cost Spartan-3 FPGA devices. Three point-multiplication algorithms are considered: the basic algorithm, the Montgomery algorithm and an algorithm based on the Frobenius map

 

VHDL codes:

 

Basic mod f(x) operations

Product of polynomials modulo f  (Multiplication over GF(2**m) ) (mutliplier_163_7_6_3.vhd)

Division of polynomials modulo f (binary algorithm)  (Division over GF(2**m)) (divider_163_7_6_3.vhd)

Squaring over GF(2**163) (square_163_7_6_3.vhd)

Addition and doubling (EC_addition_doubling.vhd)

 

Point Multiplication Algorithm

Basic Algorithm (EC_point_multiplication.vhd).

Montgomery algorithm (Montgomery_point_multiplication.vhd). The Montgomery addition and doubling (Montgomery_addition_doubling.vhd).

Frobenius map (Frobenius_point_multiplication.vhd)

 

Contact Info:

Others...

e-mail:

        arithmetic.circuits@uam.es 
 

 

 

 

This site was last updated 10/29/07